S6                 68 enc/emacs_mule.c typedef enum { FAILURE = -2, ACCEPT = -1, S0 = 0, S1, S2, S3, S4, S5, S6 } state_t;
S6                 70 enc/utf_8.c    S4, S5, S6, S7